022天津同城交友网

标题: VCS怎样增加UVM库,并編译。 [打印本页]

作者: 常欢    时间: 2020-3-9 20:48
标题: VCS怎样增加UVM库,并編译。
    文章简介:下载了uvm-1.1d.tar.gz,并解压在当前文件夹内。在.bshr



下载了uvm-1.1d.tar.gz,并解压在当前文件夹内。在.bshrc文件中添加export UVM_HOME=/home/IC/software/uvm1.1d/uvm-1.1d
makefile为以下,
comp:
        vcs -sverilog   \
        +incdir+$(UVM_HOME)/src  \
        +$(UVM_HOME)/src/uvm.sv  \
        +$(UVM_HOME)/src/dpi/uvm_dpi.cc  \
        dut.sv top_tb.sv

编译后报錯
请问编译选項没错吧?还是應为vcs -sverilog -ntb_opts uvm-1.1 +incdir+.  dut.sv top_tb.sv  ?






欢迎光临 022天津同城交友网 (http://www.btlz.cn/) Powered by Discuz! X3