022天津同城交友网

标题: 在questasim中使用vmm方法 [打印本页]

作者: 常欢    时间: 2020-4-7 18:51
标题: 在questasim中使用vmm方法
以为vmm例子中data_marcos为例,这个例子比较简单,只有一个test.sv文件
这个例子在vmm库中的路径
/sv/examples/stb_lib/data_marcos
本人使用的工作环境,XP系统,questasim6.5d vmm库为在ovmworld下载的vmm-1.1.1a
使用脚步的方法跑的程序
需要编写以下两个文件:
文件一:sim.do
set VMM_HOME "E:/system verilog/vmm-1.1.1a/"
vlib work
vlog -sv +incdir+$VMM_HOME/sv+. test.sv
vsim test -sv_lib vmm_str_dpi  //之前一直没运行成功就是这个vmm_str_dpi.dll的沒加进去的问题
run -all
quit

文件二:run.bat
vsim -c -do sim.do -l sim.log

将这两个文件存在data_macros文件夹下面,
也将vmm1.1.1a/shared/bin/win32的vmm_str_dpi.dll复制到这个文件中
双击run.bat就成功了

方法就是这样的,看大家还有没有跟方便的方法了


作者: 开心青年    时间: 2020-4-7 18:51
这样挺好了,不过也可以set一下DPI目录。
我在win下用cygwin跑shell,不过Questa6.5b有时候识别不了cygwin下的目录。





欢迎光临 022天津同城交友网 (http://www.btlz.cn/) Powered by Discuz! X3