022天津同城交友网

标题: pure virtual funtion [打印本页]

作者: 常欢    时间: 2020-4-7 18:54
标题: pure virtual funtion
system verilog中和普通的virtual method有何不同?

为什么要用它?UVM中什么地方需要用到它?


作者: 常欢    时间: 2020-4-7 18:54
pure virtual function 在base class中不能包含它的定义.
它的作用就是强制你在derived class重写这个函数,如果你不重写,而直接调用这个函数,就会报错并且提醒你是哪个pure virtual function 没有被重写。
UVM其实用了大量的pure virtual function, 例如create()。。。只不过它在UVM_OBJECT这个类里面已经重写了,而搭环境一般是扩展uvm_object,所以感受不到。





欢迎光临 022天津同城交友网 (http://www.btlz.cn/) Powered by Discuz! X3