022天津同城交友网

 找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
热搜: 交友 人才 房产
查看: 1257|回复: 1
打印 上一主题 下一主题

uvm例程中的问题求解

[复制链接]
楼主
发表于 2020-4-7 18:46:04 | 显示全部楼层
1# dreamore

当vif.iMonClk.frame_n[port_id] == 1 且 i == 8的时候就return. 这时就退出get_packet(),可以继续执行analysis_port.write()

        

回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|联系我们|022天津同城交友网

GMT+8, 2024-5-20 04:50 , Processed in 1.187500 second(s), 15 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表