022天津同城交友网

 找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
热搜: 交友 人才 房产
查看: 666|回复: 0
打印 上一主题 下一主题

VCS怎样增加UVM库,并編译。

[复制链接]
跳转到指定楼层
楼主
发表于 2020-3-9 20:48:54 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
    文章简介:下载了uvm-1.1d.tar.gz,并解压在当前文件夹内。在.bshr



下载了uvm-1.1d.tar.gz,并解压在当前文件夹内。在.bshrc文件中添加export UVM_HOME=/home/IC/software/uvm1.1d/uvm-1.1d
makefile为以下,
comp:
        vcs -sverilog   \
        +incdir+$(UVM_HOME)/src  \
        +$(UVM_HOME)/src/uvm.sv  \
        +$(UVM_HOME)/src/dpi/uvm_dpi.cc  \
        dut.sv top_tb.sv

编译后报錯
请问编译选項没错吧?还是應为vcs -sverilog -ntb_opts uvm-1.1 +incdir+.  dut.sv top_tb.sv  ?

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享分享
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|联系我们|022天津同城交友网

GMT+8, 2024-4-25 03:54 , Processed in 1.171875 second(s), 17 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表