022天津同城交友网

 找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
热搜: 交友 人才 房产
查看: 954|回复: 1
打印 上一主题 下一主题

sva斷言log信息阐发

[复制链接]
跳转到指定楼层
楼主
发表于 2020-4-7 18:46:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
各位,   一个简单同步低有效复位D触发器
模块简略代码:
   input a,rst,clk
   output q
   always @(posedge clk)begin
      if(!rst)
         q <= 1'b0;
      else
          q <= a;
   end

sva_src.sv:
    sequence s1;
      rst;
    endsequence
property p1;
  @(posedge clk) s1 |->
      (a, $display("P1 assert a = 1 is success\n"));
endproperty
a1: assert property(p1);

testbench.sv:
   initial begin
     clk = 1'b1;
     rst = 1'b 0;
     a = 1'b0;
     #6 rst = 1'b1;
   end
   always #1 clk = ~clk;
   always @(posedge clk)
       a = ~a;
   initial begin
     #100 $stop;
   end


执行以后打出如下log:
"sva_src.sv", 29: testbench.st.ss.a1:assert at 8ns fAIled at 8ns
       Offending 'a'
P1 asserty a = 1 is success
"sva_src.sv", 29: testbench.st.ss.a1:assert at 12ns failed at 8ns
       Offending 'a'
P1 asserty a = 1 is success


想问一下,从波形上看,以及打印的success信息,明明已经成功了的,红色字体的log信息中的failed的什么意思?




Best Regards!

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享分享
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|联系我们|022天津同城交友网

GMT+8, 2024-5-7 06:09 , Processed in 1.890625 second(s), 16 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表