022天津同城交友网

 找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
热搜: 交友 人才 房产
查看: 1007|回复: 1
打印 上一主题 下一主题

在questasim中使用vmm方法

[复制链接]
跳转到指定楼层
楼主
发表于 2020-4-7 18:51:32 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
以为vmm例子中data_marcos为例,这个例子比较简单,只有一个test.sv文件
这个例子在vmm库中的路径
/sv/examples/stb_lib/data_marcos
本人使用的工作环境,XP系统,questasim6.5d vmm库为在ovmworld下载的vmm-1.1.1a
使用脚步的方法跑的程序
需要编写以下两个文件:
文件一:sim.do
set VMM_HOME "E:/system verilog/vmm-1.1.1a/"
vlib work
vlog -sv +incdir+$VMM_HOME/sv+. test.sv
vsim test -sv_lib vmm_str_dpi  //之前一直没运行成功就是这个vmm_str_dpi.dll的沒加进去的问题
run -all
quit

文件二:run.bat
vsim -c -do sim.do -l sim.log

将这两个文件存在data_macros文件夹下面,
也将vmm1.1.1a/shared/bin/win32的vmm_str_dpi.dll复制到这个文件中
双击run.bat就成功了

方法就是这样的,看大家还有没有跟方便的方法了

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享分享
回复

使用道具 举报

沙发
发表于 2020-4-7 18:51:35 | 只看该作者
这样挺好了,不过也可以set一下DPI目录。
我在win下用cygwin跑shell,不过Questa6.5b有时候识别不了cygwin下的目录。
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|联系我们|022天津同城交友网

GMT+8, 2024-4-27 11:23 , Processed in 1.250000 second(s), 16 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表