022天津同城交友网

 找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
热搜: 交友 人才 房产
查看: 797|回复: 1
打印 上一主题 下一主题

pure virtual funtion

[复制链接]
跳转到指定楼层
楼主
发表于 2020-4-7 18:54:29 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
system verilog中和普通的virtual method有何不同?

为什么要用它?UVM中什么地方需要用到它?

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享分享
回复

使用道具 举报

沙发
 楼主| 发表于 2020-4-7 18:54:33 | 只看该作者
pure virtual function 在base class中不能包含它的定义.
它的作用就是强制你在derived class重写这个函数,如果你不重写,而直接调用这个函数,就会报错并且提醒你是哪个pure virtual function 没有被重写。
UVM其实用了大量的pure virtual function, 例如create()。。。只不过它在UVM_OBJECT这个类里面已经重写了,而搭环境一般是扩展uvm_object,所以感受不到。
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|联系我们|022天津同城交友网

GMT+8, 2024-4-26 08:34 , Processed in 1.218750 second(s), 16 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表