022天津同城交友网

 找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
热搜: 交友 人才 房产
查看: 1018|回复: 1
打印 上一主题 下一主题

vcs编译UVM庫的问题

[复制链接]
跳转到指定楼层
楼主
发表于 2020-4-7 18:56:14 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
    文章简介:在编译过程中,可以看到uvm库中的文件确实被编译了,但在首次遇到自己写的



在编译环境中,makefile脚本如下:
all: clean comp run
clean:

-rm –rf DVEfiles inter.vpd simv* *.log
comp:

vcs –sverilog –debug_all –timescale=1ns/1ns –l comp.log \

${UVM_HOME}/src/dpi/uvm_dpi.cc –CFLAGS –DVCS \

-f filelist.f
run:

./simv –gui +UVM_NO_RELNOTES –l run.log
filelist.f内容如下:
+incdir+${UVM_HOME}/src
+incdir+${PWD}/src
${UVM_HOME}/src/uvm_pkg.sv
${DUT_SRC}
${TESTBENCH}

在编译过程中,可以看到uvm库中的文件确实被编译了,但在首次遇到自己写的文件时,eg:class my_transaction extends uvm_sequence_item,会报“uvm_sequence_item”语法错,感觉uvm的库雖然被编译了,但没有起到作用。不知道哪里错了?

但一下的脚本却可以正常进行:
all: clean comp run
clean:

-rm –rf DVEfiles inter.vpd simv* *.log
comp:

vcs –sverilog –debug_all –timescale=1ns/1ns –l comp.log \

-ntb_opts uvm-1.1\

-f filelist.f
run:

./simv –gui +UVM_NO_RELNOTES –l run.log
filelist.f内容如下:
+incdir+${PWD}/src
${DUT_SRC}
${TESTBENCH}
编译后的log,可以看到,直接采用的就是vcs安装目录下自带的uvm-1.1的库。


哪位大虾给看看,问题到底出在哪儿了

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享分享
回复

使用道具 举报

沙发
发表于 2020-4-7 18:56:17 | 只看该作者
你没include吧
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|联系我们|022天津同城交友网

GMT+8, 2024-4-20 17:41 , Processed in 1.250000 second(s), 16 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表